Quartus Prime 17.0

Design application for Intel FPGAs, SoCs, and CPLDs
1 
Rating
Your vote:
Latest version:
17.0 See all
Developer:
Altera Corporation
Screenshots
1 / 1
Shareware
Used by 1 person
Request
Download
link when available

Quartus Prime design software includes everything you need to design for Intel FPGAs, SoCs, and CPLDs from design entry and synthesis to optimization, verification, and simulation. Dramatically increased capabilities on devices with multi-million logic elements, are providing designers with the ideal platform to meet next-generation design opportunities.

Comments

1
Rating
1 vote
5 stars
0
4 stars
0
3 stars
0
2 stars
0
1 stars
1
User

Your vote:

Related software

Mulimedia Logic
Mulimedia Logic
Free
rating

A logic emulator of the finest caliber that allows exploring your PC logic.

Quartus II Programmer
Quartus II Programmer
Free
rating

It enables you to add your programming and configuration files.

BlueSol
BlueSol
rating

Software for the design of photovoltaic systems.

Verification Engine
Verification Engine
Free
rating

Web browser security and verification add-on.

BlueSol 2013
BlueSol 2013
rating

It is a program that can be used for the design of photovoltaic systems.

Tags

Logic elements CPLDs simulation SoCs verification FPGAs optimization Design